eda心得体会

篇一:EDA课程设计实验报告

>课程名称数字系统与逻辑设计 课题名称

专 业

班 级1181

学 号201113120107

姓 名 肖浪指导教师

2013年 7月 2日

湖南工程学院

课 程 设 计 任 务 书

课程名称数字系统与逻辑设计

课 题

专业班级通信工程1181

学生姓名

学 号201113120107

指导老师 乔汇东吴德建

任务书下达日期 2013 年 6月 23日

任务完成日期2013 年 7月 2日

《数字系统与逻辑设计》课程设计任务书

一 、设计目的

全面熟悉、掌握VHDL语言基本知识,掌握利用VHDL语言对常用的的组合逻辑电路和时序逻辑电路编程,把编程和实际结合起来,熟悉编制和调试程序的技巧,掌握分析结果的若干有效方法,进一步提高上机动手能力,培养使用设计综合电路的能力,养成提供文档资料的习惯和规范编程的思想。

二、设计要求

1、设计正确,方案合理。

2、程序精炼,结构清晰。

3、设计报告5000字以上,含程序设计说明,用户使用说明,源程序清单及程序框图。

4、上机演示。

5、有详细的文档。文档中包括设计思路、设计仿真程序、仿真结果及相应的分析与结论。

三、进度安排

第十八周 星期一: 课题讲解,查阅资料

星期二: 总体设计,详细设计

星期三: 编程,上机调试、修改程序

星期四: 上机调试、完善程序

星期五: 答辩

星期六-星期天:撰写课程设计报告

附:

课程设计报告装订顺序:封面、任务书、目录、正文、评分、附件(A4大小的图纸及程序清单)。

正文的格式:一级标题用3号黑体,二级标题用四号宋体加粗,正文用小四号宋体;行距为22。 正文的内容:一、课题的主要功能;二、课题的功能模块的划分(要求画出模块图);三、主要功能的实现;四、系统调试与仿真;五、总结与体会;六、附件(所有程序的原代码,要求对程序写出必要的注释);七、评分表。

目 录

一、 课题的主要功能............................................. 3

1.1问题描述................................................. 3

1.2 功能描述 ................................................ 4

二、 课题的功能模块的划分....................................... 4

2.1 系统的总体框图 .......................................... 4

三、主要功能的实现.............................................. 5

3.1 1 8进制加法器设计....................................... 5

3.2 2 16进制计数器设计...................................... 5

3.3 列驱动设计 .............................................. 5

3.4 字体显示控制器 .......................................... 5

3.5 顶层文件设计 ........................................... 5

四、 系统调试与仿真............................................. 6

4.1 程序仿真图 ............................................. 6

4.2 16*16 LED点阵显示引脚分配............................... 8

4.3 程序运行结果 ............................................ 9

五、 总结与体会................................................. 9

六、 附件...................................................... 10

七、 课程设计评分表........................................................................................ 20

一、课题的主要功能

1.1问题描述

本实验主要完成汉字字符在LED 上的显示,16*16 扫描LED 点阵的工作原理与8 位扫描数码管类似,只是显示的方式与结果不一样而已。下面就本实验系统的16*16 点阵的工件原理做一些简单的说明。16*16 点阵由此256 个LED 通过排列组合而形成16 行*16 列的一个矩阵式的LED 阵列,俗称16*16 点阵。单个的LED 的电路如下图11-1 所示:

图11-1 单个LED 电路图

由上图可知,对于单个LED 的电路图当Rn 输入一个高电平,同时Cn 输入一个低电平时,电路形成一个回路,LED 发光。也就是LED 点阵对应的这个点被点亮。16*16 点阵也就是由16 行和16 列的LED 组成,其中每一行的所有16 个LED的Rn 端并联在一起,每一列的所有16 个LED 的Cn 端并联在一起。通过给Rn 输入一个高电平,也就相当于给这一列所有LED 输入了一个高电平,这时只要某个LED 的Cn 端输入一个低电平时,对应的LED 就会被点亮。具体的电路如下图11-2所示:

图11-2 16*16 点阵电路原理图

在点阵上显示一字符是根据其字符在点阵上的显示的点的亮灭来表示的,如下图11-3 所示:

图11-3 字符在点阵上的显示

在上图中,显示的是一个“汉”字,只要将被“汉”字所覆盖的区域的点点

篇二:EDA实验总结报告★★★

"txt">学院: 计算机科学与工程学院专业: 通信工程 学号:0941903207 姓名: 薛蕾 指导老师: 钱强

实验一 四选一数据选择器的设计

一、实验目的

1、熟悉Quartus II软件的使用。 2、了解数据选择器的工作原理。 3、熟悉EDA开发的基本流程。

二、实验原理及内容

实验原理

数据选择器在实际中得到了广泛的应用,尤其是在通信中为了利用多路信号中的一路,可以采用数据选择器进行选择再对该路信号加以利用。 从多路输入信号中选择其中一路进行输出的电路称为数据选择器。或:在地址信号控制下,从多路输入信息中选择其中的某一路信息作为输出的电路称为数据选择器。数据选择器又叫多路选择器,简称MUX。 4选1数据选择器:

(1)原理框图:如右图。

D0 、D1、D2、D3 :输入数据 A1 、A0 :地址变量

由地址码决定从4路输入中选择哪1路输出。

(2)真值表如下图:

(3)逻辑图

数据选择器的原理比较简单,首先必须设置一个选择标志信号,目的就是为了从多路信号中选择所需要的一路信号,选择标志信号的一种状态对应着一路信号。在应用中,设置一定的选择标志信号状态即可得到相应的某一路信号。这就是数据选择器的实现原理。

三.实验内容

1、分别采用原理图和VHDL语言的形式设计4选1数据选择器 2、对所涉及的电路进行编译及正确的仿真。 电路图:

四、实验程序

library ieee;

use ieee.std_Logic_1164.all;

ENTITY mux4 ISPORT(

a0, a1, a2, a3 :IN STD_LOGIC;

s :IN STD_LOGIC_VECTOR (1 DOWNTO 0);y :OUT STD_LOGIC ); END mux4;

ARCHITECTURE archmux OF mux4 IS BEGIN

y <= a0 WHEN s = "00" else --当s=00时,y=a0

a1 WHEN s = "01" else --当s=01时,y=a1 a2 WHEN s = "10" else--当s=10时,y=a2

a3; --当s取其它值时,y=a2 END archmux;

五、运行结果

六.实验总结

真值表分析:

当js=0时,a1,a0取00,01,10,11时,分别可取d0,d1,d2,d3.

篇三:基于eda的实训心得3篇

xt">EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。下面是基于eda的实训心得,希望可以帮到大家。

篇一:基于eda的实训心得

短暂的一周实训已经过去了,对于我来说这一周的实训赋予了我太多实用的东西了,不仅让我更深层次的对课本的理论知识深入了理解,而且还让我对分析事物的逻辑思维能力得到了锻炼,提高了实际动手能力,下面谈一下就这一周实训中我自己的一些心得体会。 一周的实训已经过去了,我们在老师提供的实践平台上通过自己的实践学到了很多课本上学不到的宝贵东西,熟悉了对Quartus Ⅱ软件的一般项目的操作和学到了处理简单问题的基本方法,更重要的是掌握了VHDL语言的基本设计思路和方法,我想这些会对我今后的学习起到很大的助推作用。此外,还要在今后的课本理论知识学习过程中要一步一个脚印的扎实学习,灵活的掌握和运用专业理论知识这样才能在以后出去工作的实践过程中有所成果。

最后还要感谢学校为我们提供这样专业的实践平台还有瓮老师在一周实训以来的不断指导和同学的热情帮助。总的来说,这次实训我收获很大。

同时,感谢大专两年来所有的老师,是你们为我解惑受业,不仅教授我专业知识,更教会我做人的道理。

这次EDA实训让我感觉收获颇多,在这一周的实训中我们不仅巩固了以前学过的知识,而且还学到了怎样运用EDA设计三种波形的整个过程和思路,更加强了我们动手能力,同时也提高了我们的思考能力的锻炼,我们在写程序的同时还要学会要改程序,根据错误的地方去修改程序。

本文基于Verilog HDL的乒乓球游戏机设计,利用Verilog HDL语言编写程序实现其波形数据功能在分析了CPLD技术的基础上,利用CPLD开发工具对电路进行了设计和仿真,从分离器件到系统的分布,每一步都经过严格的波形仿真,以确保功能正常。

eda心得体会

从整体上看来,实训课题的内容实现的功能都能实现,但也存在着不足和需要进一步改进的地方,为我今后的学习和工作奠下了坚实的基础。通过此次的实训课题,掌握了制作乒乓球游戏机技术的原理及设计要领,学习并掌握了可编程逻辑电路的设计,掌握了软件、CPLD元件的应用,受益匪浅, 非常感谢瓮老师这一学期来的指导与教诲,感谢老师在学习上给予的指导,老师平常的工作也很忙,但是在我们学习的过程中,重来没有耽搁过,我们遇到问题问他,他重来都是很有耐心,不管问的学生有多少,他都细心的为每个学生讲解,学生们遇到的不能解决的,他都配合同学极力解决。最后祝愿瓮老师身体健康,全家幸福。

通过这次课程设计,我进一步熟悉了Verilog HDL语言的结构,语言规则和语言类型。对编程软件的界面及操作有了更好的熟悉。在编程过程中,我们虽然碰到了很多困难和问题,到最后还是靠自己的努力与坚持独立的完成了任务。当遇到了自己无法解决的困难与问题的时候,要有耐心,要学会一步步的去找问题的根源,才能解决问题,还请教老师给予指导和帮助。这次实训给我最深的印象就是扩大自己的知识面,知道要培养哪些技能对我们的专业很重要。通过这次课程设计,培养了我们共同合作的能力。但是此次设计中参考了其他程序段实际思想,显示出我们在程序设计方面还有不足之处。

在此次实训的过程中,我了解到了要加强培养动手能力,要明白理论与实践结合的重要性,只有理论知识也是不够的,只有把理论知识和实践相结合,才能真正提高我们的实际动手能力与独立思考的能力 。感谢学院给我们提供这次实训的机会,感谢瓮老师对我们的指导,他是为了教会我们如何运用所学的知识去解决实际的问题,此外,还得出一个结论:知识必须通过应用才能实现其价值!有些东西以为学会了,但真正到用的时候才发现是两回事,所以我认为只有到真正会用的时候才是真的学会了。

本次设计过程中得到我们老师的悉心指导。瓮老师多次询问设计进程,并为我们指点迷津,帮助我们理顺设计思路,精心点拨。瓮老师一丝不苟的作风,严谨求实的态度,踏踏实实的精神,不仅授我以文,并将积极影响我今后的学习和工作。在此诚挚地向瓮老师致谢。

篇二:基于eda的实训心得

本学期末我们进行了EDA实训,我们组做的是四路智能抢答器,不过本次实训与以往最大的不同是在熟练并掌握Verilog硬件描述语言的基础上,运用Quartus软件,对其进行波形以及功能的仿真。我们组抢答器的设计要求是:可容纳四组参赛者,每组设置一个抢答按钮供抢答者使用,电路具有第一抢答信号的鉴别和锁存功能,系统具有计分、倒计时和倒计时锁存等电路,输入信号有:各组的抢答按钮A、B、C、D,系统清零信号CLR,系统时钟信号CLK,计分复位端RST,加分按钮端ADD,计时预置控制端LDN,计时使能端EN,计时预置数据调整按钮可以用如TA、TB表示;系统的输出信号有:四个组抢答成功与否的指示灯控制信号输出口可用如LEDA、LEDB、LEDC、LEDD表示,四个组抢答时的计时数码显示控制信号若干,抢答成功组别显示的控制信号若干,各组计分动态显示的控制信号若干。整个系统至少有三个主要模块:抢答鉴别模块、抢答计时模块、抢答计分模块。

实训的第一天我们组三个人就开始对抢答器的各部分源程序进行调试,由于刚开始对于quartus2软件用的不是很熟练,所以在第一天几乎上没有啥大的进展,一直都在改程序中的错误。在不停的重复的编译、改错。拿着EDA修改稿、资料书检查出错的地方,一边又一遍的校对分析其中的错误。

在实训中我们遇到了很多的问题。为了解决这些问题我和他们两个都在的想办法通过各种渠道寻找解决问题的方法。上网查资料、问同学、图书馆查资料、问老师、自己想办法,其实最有效的方法还是自己去想那样学到的东西才会更加的深刻记得时间也是最长的,他人的帮助当然是很好的,但只是暂时的要想真正的学到东西还是要靠自己去想办法。不能一有问题就希望要他人帮忙,一定自己先好好想想实在解决不了的再去问老师找同学。

由于在一开始的时候对quartus2软件的不熟悉耽误了很多的时间,在接下来的几天里遇到了不少的问题。刚开始的时候是源程序中的错误一直在那改,好不容易几个模块中的错误都一个个排除了,但当把他们放到一起时问题就又出现了。于是又开始了检查修改,可是弄了好长时间也没有弄明白,最后找了一个在实验室的同学说是顶层文件有问题。于是晚上又找了些关于顶层文件资料还有课本上的例子。最后对步骤已经有了很熟练的掌握,很快就完成了程序编译、仿真、下载到最后的调试。

"纸上谈来终觉浅,绝知此事要躬行。"在这短暂的两周实训中深深的感觉到了自己要学的东西实在是太多了,自己知道的是多么的有限,由于自身专业知识的欠缺导致了这次实训不是进行的很顺利,通过这次实训暴露了我们自身的诸多的不足之处,我们会引以为鉴,在以后的生活中更应该努力的学习。

虽然实训仅仅进行了两个星期就匆匆的结束了,但在这两个星期中收获还是很多的。实训的目的是要把学过的东西拿出来用这一个星期的实训中不仅用了而且对于quartus2软件的使用也更加的得心应手,这次实训提高了我们的动手能力、理论联系实际的能力、发现问题分析问题解决问题的能力。实训只要你认真做了都是对自己能力一次很大的提高。

本次设计过程中得到我们老师的悉心指导。瓮老师多次询问设计进程,并为我们指点迷津,帮助我们理顺设计思路,精心点拨,时刻在帮助着我们去提高自己。瓮老师一丝不苟的作风,严谨求实的态度,踏踏实实的精神,不仅是我学习的楷模,并将积极影响我今后的学习和工作。在此诚挚地向瓮老师致谢。

篇三:基于eda的实训心得

不到一周的EDA实训就这样结束了,虽然时间有些短暂,学习的有些仓促,但是这次实训我是认真的。我没有像以往一样单一的照猫画虎,没有等待着参考别人的成果,而是一边画电路图,一边分析原理,遇到不会的,自己先勇于尝试,然后与同学交流。虽然有很多地方仍然不是很明白,但是自己至少尽心尽力了。

初次使用Multisim软件,加之又是英文版的,会很吃力,我们可能找不准元器件,可能因不懂它的属性而用错,像这样的问题不是没出现过,就在完成实训第一题目时这些错误就出现了。当时因为用错电阻的属性,导致仿真出的波形与别人不同,然而这个问题在当时困扰了我和同学很久,一直找不出问题出在哪里,后来还好有老师的指导,才找出问题的所在(我们用的是电流型电阻)。

实训内容包括了对电路、模拟电子、数字电路的简单操作,我们通过Multisim软件画出电路图,用虚拟的仪表对电路参数进行测量,用虚拟示波器对电路输入输出波形进行观测,这不仅让我们熟悉使用该软件,同时体验软件仿真在电路分析中的重要作用,利用该软件不仅可以准确测量各参量,还可帮助我们测试电路的性能。它确实很方便实用。

虽然有了这种强大软件的帮助,但是对于我们这些初学者来说,必须学会自己分析电路原理,来判断测试结果。电路、模电、数电是一年前学的,或许是因时间长,好多知识点被遗忘了,或许是当时就没将这三门功课学好,对知识点的生疏,导致实训的进行并不是很顺利,有时半天分析不出一个原理图。

实训时间很短,该软件的学习过程还很长,我不希望自己因实训结束而停止对其的认识和学习。写到这,我想起前几天一位留学回国的姐说过的话:"你现在所学的那些专业软件,你必须深入了解和学习;就学校进行一到两礼拜的学习是远远不够的,自己课后必须加强学习"。之前的实训机会已经被荒废了,现在的机会自己应该好好珍惜。大学的美好时光所剩不多,如果觉得自己之前没有尽心尽力,那么接下来的时间自己好好珍惜吧。 最后,感谢本次实训过程中给予我帮助的老师和同学,谢谢你们。